Publications

For a complete list of group publications, visit Dr. Gottlieb Oehrlein’s google scholar page

Books

  1. G. S. Oehrlein, T.E.F.M. Standaert, P. J. Matsuo, Chapter 9, Plasma Etching of Low Dielectric Constant Materials, Spring Series in Advanced Microelectronics, Vol. 9, “Low Dielectric Constant Materials for IC Applications” (Springer, Heidelberg, 2002).
  2. G. S. Oehrlein, K. Maex, Y.-C. Joo, S. Ogawa, and J. T. Wetzel, editors, “Materials, Technology and Reliability for Advanced Interconnects and Low-k Dielectric,” MRS Symposium Proceedings Volume 612 (Warrendale, 2001).

Publications

  1. “Stages of Polymer Transformation during Remote Plasma Oxidation (RPO) at Atmospheric Pressure,” P. Luan and G. S. Oehrlein, J. Phys. D 51 (2018); doi:10.1088/1361-6463/aaaf60.
  2. “Foundations of Low-Temperature Plasma Enhanced Materials Synthesis and Etching,” G. S. Oehrlein and S. Hamaguchi, Plasma Sources Sci. Technol. 27, 023001 (2018); doi:10.1088/1361-6595/aaa86c.
  3. “Role of the Dense Amorphouse Carbon Layer in Photoresist Etching,” D. Pranda, S. A. Gutierrez Razo, Z. Tomova, J. T. Fourkas, and G. S. Oehrlein, J. Vac. Sci. Technol. A 36, 021304 (2018); doi:10.1116/1.5009640.
  4. “Plasma-Surface Interaction at Atmospheric Pressure: A Case Study of Polystyrene Etching and Surface Modification by Ar/O2 Plasma Jet,” P. Luan, A. J. Knoll, P. J. Bruggeman, and G. S. Oehrlein, J. Vac. Sci. Technol. A 35, 05C315 (2017); doi:10.1116/1.5000691.
  5. “The 2017 Plasma Roadmap: Low Temperature Plasma Science and Technology,” I. Adamovich, S. d. Baalrud, A. Bogaerts, P. J. Bruggeman, M. Cappelli, V. Colombo, U. Czarnetzki, U. Ebert, J. G. Eden, P. Favia, D. B. Graves, S. Hamaguchi, G. Hieftje, M. Hori, I. D. Kaganovich, U. Kortshagen, M. J. Kushner, N. J. Mason, S. Mazouffre, S. Mededovic Thagard, H.-R. Metelmann, A. Mizuno, E. Moreau, A. B. Murphy, B. A. Niemira, G. S. Oehrlein, Z. Lj. Petrovic, L. C. Pitchford, Y.-K. Pu, S. Rauf, O. Sakai, S. Samukawa, S. Starikovskaia, J. Tennyson, K. Terashima, M. M. Turner, M.C.M. van de Sanden, and A. Vardelle, J. Phys. D 50, 323001 (2017); doi 10.1088/1361-6463/aa76f5.
  6. “Model Polymer Etching and Surface Modification by a Time-Modulated RF Plasma Jet: Role of Atomic Oxygen and Water Vapor,” P. Luan, A. J. Knoll, H. Wang, V.S.S.K. Kondeti, P. J. Bruggeman, and G. S. Oehrlein, J. Phys. D 50, 03LT02 (2017); doi:10.1088/1361-6463/aa4e97.
  7. “Editorial for Achieving Atomistic Control in Plasma-Material Interactions,” G. S. Oehrlein, S. Hamaguchi, and A. von Keudell, J. Phys. D: Appl. Phys. 50, 490201 (2017); doi:10.1088/1361.6463/aa93c8.
  8. “Investigation of Thin Oxide Layer Removal from Si Substrates Using an SiO2 Atomic Layer Etching Approach: The Importance of the Reactivitiy of the Substrate,” D. Metzler, C. Li, C. S. Lai, E. A. Hudson, and G. S. Oehrlein, J. Phys. D 50, 254006 (2017); doi:10.1088/1361-6463/aa71f1.
  9. “Characterizing Fluorocarbon-Assisted Atomic Layer Etching of Si Using Cyclic Ar/C4F8 and Ar/CHF3 Plasma,” D. Metzler, C. Li, S. Engelmann, R. L. Bruce, E. A. Joseph, and G. S. Oehrlein, J. Chem. Phys.146, 052801 (2017); doi 10.1063/1.4961458.
  10. “Fluorocarbon-Based Atomic Layer Etching of Si3N4 and Etching Selectivity of SiO2 over Si3N4,” C. Li, D. Metzler, C. S. Lai, E. A. Hudson, and G. S. Oehrlein, J. Vac. Sci. Technol. A 34, 041307 (2016); doi:10.1116/1.4954961.
  11. “He Plasma Pretreatment of Organic Masking Materials for Performance Improvement during Pattern Transfer by Plasma Etching,” D. Metzler, F. Weilnboeck, S. Engelmann, and R. L. Bruce, J. Vac. Sci. Technol. B 34, 041604 (2016); doi:10.1116/1.4949274.
  12. “Effect of the Chamber Wall on Fluorocarbon-Assisted Atomic Layer Etching of SiO2 Using Cyclic Ar/C4F8 Plasma,” M. Kawakami, D. Metzler, C. Li, and G. S. Oehrlein, J. Vac. Sci. Technol. A 34, 040603 (2016); doi 10.1116/1.4949260.
  13. “Cold Atmospheric Pressure Plasma VUV Interactions with Surfaces: Effect of Local Gas Environment and Source Design,” A. J. Knoll, P. Luan, E.A.J. Bartis, V.S.S.K. Kondeti, P. J. Gruggeman, and G. S. Oehrlein, Plasma Process. Polym. 13, 1069 (2016). doi:10.1002/ppap.201600043.
  14. “Impact of Hydroflurocarbon Molecular Structure Parameters on Plasma Etching of Ultra-Low-K Dielectric,” C. Li, R. Gupta, V. Pallem, and G. S. Oehrlein, J. Vac. Sci. Technol. A, 031306 (2016); doi:10.1116/1.4944609.
  15. “A Comparative Study of Biomolecule and Polymer Surface Modifications by a Surface Microdischarge,” E.A.J. Bartis, P. Luan, A. J. Knoll, D. B. Graves, J. Seog, and G. S. Oehrlein, Eur. Phys. J. D 70, 25 (2016); doi:10.1140/epjd/e2015-60446-3.
  16. “Application of Cyclic Fluorocarbon/Argon Discharges to Device Patterning,” D. Metzler, K. Uppireddi, R. L. Bruce, H. Miyazoe, Y. Zhu, W. Price, E. S. Sikorski, C. Li, S. U. Engelmann, E. A. Joseph, and G. S. Oehrlein, J. Vac. Sci. Technol. A 34, 01B102 (2016); doi:10.1116/1/4935460.
  17. “Fluorocarbon Assisted Atomic Layer Etching of SiO2 and Si Using Cyclic Ar/C4F8 and Ar/CHF3 Plasma,” D. Metzler, C. Li, S. Engelmann, R. L. Bruce, E. A. Joseph, and G. S. Oehrlein, J. Vac. Sci. Technol. A 34, 01B101 (2016); doi 10.1116/1.4935462.
  18. “On the Interaction of Cold Atmospheric Pressure Plasma with Surfaces of Biomolecules and Model Polymers,” E.A.J. Bartis, A. J. Knoll, P. Luan, J. Seog, and G. S. Oehrlein, Plasma Chem. Plasma Process. 36, 121 (2016); doi 10.1007/s11090-015-9673-2.
  19. “Biodeactivation of Lipopolysaccharide Correlates with Surface-Bound NO3 after Cold Atmospheric Pressure Plasma Treatment,” E. Bartis, P. Luan, A. J. Knoll,  D. B. Graves, J. Seog, and G. S. Oehrlein, Plasma Process. Polym. 13, 410 (2016); doi: 10.1002/ppap.201500072.
  20. “Formation of Nanometer-Thick Delaminated Amorphous Carbon Layer by Two-Step Plasma Processing of Methacrylate-Based Polymer,” D. Metzler, F. Weilnboeck, S. C. Hernández, G S. Walton, R. L. Bruce, S. Engelmann, L. Salamance-Riba, and G. S. Oehrlein, J. Vac. Sci. Technol. B 33, 051601 (2015); doi:10.1116/1.4928493.
  21. “Atomic Layer Etching at the Tipping Point: An Overview,” G. S. Oehrlein, D. Metzler, and C. Li, ECS J. Solid State Sci. Technol. 4, N5041-N5053 (2015); doi:10.1149/2.0061506jss.
  22. “Polystyrene as a Model System to Probe the Impact of Ambient Gas Chemistry on Polymer Surface Modifications Using Remote Atmospheric Pressure Plasma under Well-Controlled Conditions,” E.A.J. Bartis, P. Luan, A. J. Knoll, C. Hart, J. Seog, and G. S. Oehrlein, Biointerphases 10, 029512 (2015); doi: 10.1116/1.4919410.
  23. “Real Time Characterization of Polymer Surface Modifications by an Atmospheric-Pressure Plasma Jet: Electrically Coupled versus Remote Mode,” A. J. Knoll, P. Luan, E.A.J. Bartis, C. Hart, Y. Raitses, and G. S. Oehrlein, Appl. Phys. Lett. 105, 171601 (2014); doi: 10.1063/1.4900551.
  24. “Plasma Flux-Dependent Lipid A Deactivation,” H.-W. Chang, C.-C. Hsu, M. Ahmed, S. Y. Liu, Y. Fang, J. Seog, G. S. Oehrlein, and D. B. Graves, J. Phys. D 47, 224015 (2014); doi:10.1088/0022-3727/47/22/224015.
  25. “Controlling Asymmetric Photoresist Feature Dimensions during Plasma-Assisted Shrink,” N. Fox-Lyon, D. Metzler, G. S. Oehrlein, D. Farber, and T. Lii, Plasma Process. Polym. 11, 714-720 (2014); doi:10.1002/ppap.201400035.
  26. “Isotope Effects on Plasma Species of Ar/H2/D2 Plasmas,” N. Fox-Lyon and G. S. Oehrlein, J. Vac. Sci. Technol. B 32, 041206 (2014); doi:10.1116/1.4889858.
  27. “Effect of Surface Derived Hydrocarbon Impurities on Ar Plasma Properties,” N. Fox-Lyon, G. S. Oehrlein, and V. Godyak, J. Vac. Sci. Technol. A 32, 030601 (2014); doi:10.1116/1.4867158.
  28. “Fluorocarbon Assisted Atomic Layer Etching of SiO2 Using Cyclic Ar/C4F8 Plasma,” Dominik Metzler, Robert L. Bruce, Sebastian Engelmann, Eric A. Joseph, and Gottlieb S. Oehrlein, J. Vac. Sci. Technol. A 32, 020603 (2014); doi:10.1116/1.4843575.
  29. “Plasma Deactivation of Endotoxic Biomolecules: Vacuum Ultraviolet Photon and Radical Beam Effects on Lipid A,” T.-Y. Chung, N. Ning, J.-W. Chu, D. B. Graves, E. Bartis, J. Seog, G. S. Oehrlein, Plasma Process. Polym. 10, 167 (2013); doi:10.1002/ppap.201200087.
  30. “Feasibility of Atomic Layer Etching of Polymer Material Based on Sequential 02 Exposure and Ar Low-Pressure Plasma-Etching,” E. Vogli, D. Metzler, and G. S. Oehrlein, Appl. Phys. Lett. 102, 253105 (2013); doi:10.1063/1.4812750.
  31. “Atmospheric Pressure Plasma Treatment of Lipopolysaccharide in a Controlled Environment,” E. A. J. Bartis, D. B. Graves, J. Seog, and G. S. Oehrlein, J. Phys. D 46 (2013); doi:10.1088/0022-3727/46/31/312002.
  32. “Determination of Ar Metastable Atom Densities in Ar and Ar-H2 Inductively Coupled Low-Temperature Plasmas,” N. Fox-Lyon, A. J. Knoll, J. Franek, V. Demidov, V. Godyak, M. Koepke, and G. S. Oehrlein, J. Phys. D 46, 485202 (2013); doi:10.1088/0022-3727/46/48/485202.
  33. “Deactivation of Lipopolysaccharide by Ar and H2 Inductively Coupled Low-Pressure Plasma,” E.A.J. Bartis, C. Barrett, T.-Y. Chung, N. Ning, J.-W. Chu, D. B. Graves, J. Seog, and G. S. Oehrlein, J. Phys. D 47 (2013); doi: 10.1088/0022-3727/47/4/045202.
  34. “Study of Ti Etching and Selectivity Mechanism in Fluorocarbon Plasmas for Dielectric Etch,” F. Weilnboeck, E. Bartis, S. Shachar, G. S. Oehrlein, D. Farber, T. Lii, and C. Lenox, J. Vac. Sci. Technol. B 30, 021804 (2012); doi:10.1116/1.3690643.
  35. “Direct and Quantitative Evidence for Buckling Instability as a Mechanism for Roughening of Polymer during Plasma Etching” T.-C. Lin, R. L. Bruce, G. S. Oehrlein, R. J. Phaneuf, and H.-C. Kan, Appl. Phys. Lett. 100, 233113 (2012); doi:10.1063/1.4718940.
  36. “Differences in Erosion Mechanism and Selectivity between Ti and TiN in Fluorocarbon (FC) Plasmas for Dielectric Etch,” F. Weilnboeck, E. Bartis, S. Shachar, G. S. Oehrlein, D. Farber, T. Lii, and C. Lenox, J. Vac. Sci. Technol. B 30, 041811 (2012); doi:10.1116/1.4736979.
  37. “Real-Time Measurements of Plasma Photoresist Modifications: The Role of Plasma Vacuum Ultraviolet Radiation and Ions,” F. Weilnboeck, N. Kumar, G. S. Oehrlein, T.-Y. Chung, D. Graves, M. Li, E. A. Hudson, and E. C. Benck, J. Vac. Sci. Technol. B 30, 031807 (2012); doi:10.1116/1.3697752.
  38. “Characterization and Mechanism of He Plasma Pretreatment of Nanoscale Polymer masks for Improved Pattern Transfer Fidelity,” F. Weilnboeck, D. Metzler, N. Kumar, G. S. Oehrlein, R. L. Bruce, S. Engelmann, and N. Fuller, Appl. Phys. Lett. 99, 261501 (2011); doi:10.1063/1.3671995.
  39. “Plasma-Polymer Interactions: A Review of Progress in Understanding Polymer Resist Mask Durability during Plasma Etching for Nanoscale Fabrication,” G. S. Oehrlein, R. J. Phaneuf, and D. B. Graves, J. Vac. Sci. Technol. B 29, 010801 (2011); doi:10.1116/1.3532949.
  40. “Poly (2-vinyl naphthalene-b-acrylic acid) Block Copolymer Pattern Formation, Alignment and Pattern Transfer into Silicon by Reactive Ion Etching,” Xin Zhang, Christopher Metting, R. M. Briber, Florian Weilnboeck, Sang Hak Shin, Ben Jones, and Gottlieb S. Oehrlein, Macromolecular Chem. Phys. 212, 1735 (2011); doi:10.1002/macp.201100232.
  41. “On the Absence of Post-Plasma Etch Surface and Line Edge Roughness in Vinylpyridine Resists,” R. L. Bruce, F. Weilnboeck, T. Lin, R. J. Phaneuf, G. S. Oehrlein, B. K. Long, C. G. Willson, and A. Alizadeh, J. Vac. Sci. Technol. B 19, 041604 (2011); doi:10.1116/1.3607604.
  42. “Ion and Vacuum Ultraviolet Photon Beam Effects in 193 nm Photoresist Surface Roughening: The Role of the Adamantyl Pendant Group,” T. Y. Chung, D. B. Graves, F. Weilnboeck, R. L. Bruce, G. S. Oehrlein, M. Q. Li, and E. A. Hudson, Plasma Process. Polym. 8, 1068 (2011); doi 10.1002/ppap.201100071.
  43. “Hydrogenation and Surface Density Changes in Hydrocarbon Films during Erosion Using Ar/H2 Plasmas,” N. Fox-Lyon, G. S. Oehrlein, N. Ning, D. B. Graves, J. Appl. Phys. 110, 104314 (2011); doi:10.1063/1.3662953.
  44. “Molecular Structure Effects on Dry Etching Behavior of Si-containing Resists in Oxygen Plasma,” R. L. Bruce, T. Lin, R. J. Phaneuf, G. S. Oehrlein, W. Bell, B. Long, and C. G. Willson, J. Vac. Sci. Technol. B 28, 751 (2010); doi:10.1116/1.3455496.
  45. “Role of Polymer Structure and Ceiling Temperature in Polymer Roughening and Degradation during Plasma Processing: A Beam System Study of P4MS and P alpha MS,” D. Nest, T. Y. Chung, J. J. Vegh, D. B. Graves, R. L. Bruce, T. Lin, R. J. Phaneuf, G. S. Oehrlein, B. K. Long, and C. G. Willson, J. Phys. D 43, 085204 (2010); doi:10.1088/0022-3727/43/8/085204.
  46. “Relationship between Nanoscale Roughness and Ion-Damaged Layer in Argon Plasma Exposed Polystyrene Films,” R. L. Bruce, F. Weilnboeck, T. Lin, R. J. Phaneuf, G. S. Oehrlein, B. K. Long, C. G. Willson, J. J. Vegh, D. Next and D. B. Graves, J. Appl. Phys. 107, 084310 (2010); doi: 10.1063/1.3373587.
  47. “Electron, Ion and Vacuum Ultraviolet Photon Effects in 193 nm Photoresist Surface Roughening,” T. Y. Chung, D. Nest, D. B. Graves, F. Weilnboeck, R. L. Bruce, G. S. Oehrlein, D. Wang, M. Li, and E. A. Hudson, J. Phys. D 43, 272001 (2010) ; doi:10.1088/0022-3727/43/27/272001)
  48. “Photoresist Modifications by Plasma Vacuum Ultraviolet Radiation: The Role of Polymer Structure and Plasma Chemistry,” F. Weilnboeck, R. L. Bruce, S. Engelmann, G. S. Oehrlein, D. Nest, T.-Y. Chung, D. Graves, M. Li, D. Wang, C. Andes, and E. A. Hudson, J. Vac. Sci. Technol. B 28, 993 (2010); doi 10.1116/1.3484249.
  49. “Real-Time and Post-Plasma Studies of Influence of Low Levels of Tungsten on Carbon Erosion and Surface Evolution Behaviour in D2 Plasma,” F. Weilnboeck, N. Fox-Lyon, G. S. Oehrlein, and R. P. Doerner, Nucl. Fusion 50, 025027 (2010); doi:0.1088/0029-5515/50/2/025027.
  50. “Surface and Near-Surface Modifications of Ultralow Dielectric Constant Materials Exposed to Plasmas under Sidewall-Like Conditions,” Ming-Shu Kuo and G. S. Oehrlein, J. Vac. Sci. Technol. B 28, 1104 (2010); doi:10.1116/1.3499271.
  51. “Mechanistic Study of Ultralow K-Compatible Carbon Dioxide in situ Photoresist Ashing Processes. I. Process Performance and Influence on ULK Material Modification,” Ming-Shu Kuo, A. R. Pal, G. S. Oehrlein, P. Lazzeri, and M. Anderle, J. Vac. Sci.  Technol. B 28, (2010); doi :0.1116/1.3482343.
  52. “Mechanistic Study of Ultralow k-Compatible Carbon Dioxide in Situ Photoresist Ashing Processes. II. Interaction with Preceding Fluorocarbon Plasma Ultralow k Etching Processes,” Ming-Shu Kuo, A. R. Pal, and G. S. Oehrlein, J. Vac. Sci. Technol. B 28, 961 (2010); doi:10.1116/1.3482353.
  53. “Influence of C4F8/Ar Based Etch and H2 Based Remote Ash Processes on ULK Materials Modifications,” M.-S. Kuo, X. Hua, G. S. Oehrlein, A. Ali, P. Jiang, P. Lazzeri, and M. Anderle, J. Vac. Sci. Technol. B 28 [2], 284 (2010); doi:10.1116/1.3308623.
  54. “Stages in the Interaction of Deuterium Atoms with Amorphous Hydrogenated Carbon Films: Isotope Exchange, Soft-Layer Formation, and Steady-State Erosion,” G. S. Oehrlein, T. Schwarz-Selinger, K. Schmid, M. Schlüter, and W. Jacob, J. Appl. Phys. 108, 043307 (2010); doi:10.1063/1.3474988.
  55. “Plasma-Surface Interactions of Advanced Photoresists with C4F8/Ar Discharges: Plasma Parameter Dependencies,” S. Engelmann, R. L. Bruce, M. Sumiya, T. Kwon, R. Phaneuf, G. S. Oehrlein, C. Andes, D. Graves, D. Nest, and E. A. Hudson, J. Vac. Sci. Technol. B 27, 92 (2009) ; doi:10.1116/1.3054342.
  56. “Real-Time Studies of Surface Roughness Development and Reticulation Mechanisms of Advanced Photoresist Materials during Plasma Processing,” A. R. Pal, R. L. Bruce, F. Weilnboeck, S. Engelmann, T. Lin, M.-S. Kuo, R. Phaneuf, and G. S. Oehrlein, J. Appl. Phys. 105, 031133 (2009); doi:10.1063/1.3055268.
  57. “Low-Temperature Plasma-Assisted Nanotransfer Printing between Thermoplastic Polymers,” D. Y. Lee, D. R. Hines, C. M. Stafford, C. L. Soles, E. K. Lin, and G. S. Oehrlein, Adv. Mater. 21, 1 (2009); doi:10.1002/adma.200803121.
  58. “Dependence of Polymer Surface Roughening Rate on Deposited Energy Density during Plasma Processing,” S. Engelmann, R. L. Bruce, F. Weilnboeck, G. S. Oehrlein, D. Nest, D. Graves, C. Andes, and E. A. Hudson, Plasma Process. Polym. 6, 484 (2009); doi:10.1002/ppap.200900004.
  59. “Study of Ion and VUV-induced Effects on Styrene- and Ester-based Polymers Exposed to Argon Plasma,” R. L. Bruce, S. Engelmann, T. Lin, T. Kwon, R. Phaneuf, G. S. Oehrlein, B. K. Long, C. G. Willson, J. J. Vegh, D. Nest, D. B. Graves, and A. Alizadeh, J. Vac. Sci. Technol. B 27, 1142 (2009) ; doi:10.1116/1.3136864.
  60. “Dependence of Photoresist Surface Modifications during Plasma-Based Pattern Transfer on Choice of Feedgas Composition: Comparison of C4F8- and CF4-Based Discharges,” S. Engelmann, R. L. Bruce, F. Weilnboeck, M. Sumiya, T. Kwon, R. Phaneuf, G.S. Oehrlein, C. Andes, D. Graves, D. Nest, and E. A. Husdon, J. Vac. Sci. Technol. B 27, 1165 (2009); doi:10.1116/1.3137012.
  61. “Understanding the Roughening and Degradation of 193 nm Photoresist during Plasma Processing: Synergistic Roles of Vacuum Ultraviolet Radiation and Ion Bombardment,” D. Nest, T.-Y. Chung, D. B. Graves, S. Engelmann, R. L. Bruce, F. Weilnboeck, G. S. Oehrlein, D. Y. Wang, C. Andes, and E. A. Hudson, Plasma Process. Polym. 6, 649 (2009); doi:10.1002/ppap.200900039.
  62. “Studies of Fluorocarbon Film Deposition and Its Correlation with Etched Trench Sidewall Angle by Employing a Gap Structure Using C4F8/Ar and CF4/H2 Based Capacitively Coupled Plasmas,” Li Ling, X. Hua, L. Zheng, G. S. Oehrlein, E. Hudson, and P. Jiang, J. Vac. Sci. Technol. B 26, 11-22 (2008); doi:10.1116/1.2817627.
  63. “Interactions of Photoresist Stripping Plasmas with Nanoporous Organo-Silicate Ultra Low Dielectric Constant Dielectrics,” P. Lazzeri, G. J. Stueber, G. S. Oehrlein, R. McGowan, E. Busch, S. Pederzoli, C. Jeynes d, M. Bersani, and M. Anderle, Thin Solid Films 516, 3697 (2008); doi:10.1016/j.tsf.2007.08.043.
  64. “Study of 193 nm Photoresist Degradation during Short Time Fluorocarbon Plasma Exposure. I. Studies of Modified Layer Formation,” M. Sumiya, R. Bruce, S. Engelmann, F. Weilnboeck, and G. S. Oehrlein, J. Vac. Sci. Technol. B 26, 1637 (2008); doi:10.1116/1.2960561.
  65. “Study of 193 nm Photoresist Degradation during Short Time Fluorocarbon Plasma Exposures. II. Plasma Parameter Trends for Photoresist Degradation,” M. Sumiya, R. Bruce, S. Engelmann, F. Weilnboeck, and G. S. Oehrlein, J. Vac. Sci. Technol. B 26, 1647 (2008); doi:10.1116/1.2960563.
  66. “Study of 193 nm Photoresist Degradation during Short Time Fluorocarbon Plasma Exposures. III. Effect of Fluorocarbon Film and Initial Surface Condition on Photoresist Degradation,” M. Sumiya, R. Bruce, S. Engelmann, F. Weilnboeck, and G. S. Oehrlein, J. Vac. Sci. Technol. B 26, 1978 (2008); doi:10.1116/1.3021037.
  67. “Synergistic Effects of Vacuum Ultraviolet Radiation, Ion Bombardment, and Heating in 193 nm Photoresist Roughening and Degradation,” D. Nest, D. B. Graves, S. Engelmann, R. L. Bruce, F. Weilnboeck, G. S. Oehrlein, C. Andes, and E. A. Hudson, Appl. Phys. Lett. 92, 153113 (2008); doi:10.1063/1.2912028.
  68. “Molecular Dynamics Simulations of Near-Surface Modification of Polystyrene: Bombardment with Ar+ and Ar+/Radical Chemistries,” J. J. Végh, D. Nest, D. B. Graves, R. Bruce, S. Engelmann, T. Kwon, R. J. Phaneuf, G. S. Oehrlein, B. K. Long, and C. G. Willson, J. Appl. Phys.104, 034308 (2008); doi:10.1063/1.2963708.
  69. “Plasma-Surface Interactions of Model Polymers for Advanced Photoresists Using C4F8/Ar Discharges and Energetic Ar+ Ion Beams,” S. Engelmann, R. Bruce, T. Kwon, R. Phaneuf, G. S. Oehrlein, Y. C. Bae, C. Andes, D. Graves, D. Nest, E. A. Hudson, P. Lazzeri, E. Iacob and M. Anderle, J. Vac. Sci. Technol. B 25, 1353 (2007); doi: 10.1116/1.2759935.
  70. “Near-Surface Modification of Polystyrene by Ar+: Molecular Dynamics Simulations and Experimental Validation,” J. J. Vegh, D. Nest, D. B. Graves, R. Bruce, S. Engelmann, T. Kwon, R. J. Phaneuf, G. S. Oehrlein, B. K. Long, and C. G. Willson, Appl. Phys. Lett. 91, 233113 (2007); doi:10.1063/1.2821226.
  71. “On the Photoresist Stripping and Damage of Ultralow k Dielectric Materials Using Remote H2– and D2-Based Discharges,” G. J. Stueber, G. S. Oehrlein, P. Lazzeri, M. Bersani, M. Anderle, R. McGowan, and E. Busch, J. Vac. Sci. Technol. B 25, 1593 (2007); doi:10.1116/1.2769360.
  72. “Study of Photoresist Etching and Roughness Formation in Electron-Beam Generated Plasmas,” B. J. Orf, S. G. Walton, D. Leonhardt, and G. S. Oehrlein, J. Vac. Sci. Technol. B 25, 779 (2007); doi:10.1116/1.2732741.
  73. “Transient Roughening Behaviour and Spontaneous Pattern Formation during Plasma Etching of Nanoporous Silica,” T. Kwon, H. C. Kan, G. S. Oehrlein, and R. J. Phaneuf, Nanotechnol. 18, 055305 (2007); doi:10.1088/0957-4484/18/5/055305.
  74. “Time of Flight Secondary Ion Mass Spectroscopy Investigation of Ultralow-k Dielectric Modifications in Hydrogen and Deuterium Plasmas,” P. Lazzeri, G. J. Stueber, G. S. Oehrlein, R. McGowan, E. Busch, S. Pederzoli, M. Bersani, M. Anderle, J. Vac. Sci. Technol. B 24, 2695 (2006); doi:10.1116/1.2382949.
  75. “Studies of Plasma Surface Interactions during Short Time Plasma Etching of 193 and 248 nm Photoresist Materials,” H. Zuefeng, S. Engelmann, G. S. Oehrlein, P. Jiang, P. Lazzeri, E. Iacob, and M. Anderle, J. Vac. Sci. Technol. B 24, 1850, (2006); doi:10.1116/1.2217973.
  76. “Damage of Ultralow k Materials during Photoresist Mask Stripping Process,” J. Xuefeng, K. Ming-Shu, G. S. Oehrlein, P. Lazzeri, E. Iacob, M. Anderle, C. K. Inoki, T. S. Kuan, P. Jiang, and Wu Wen-Li, J. Vac. Sci. Technol. B 24, 1238 (2006); doi:10.1116/1.2194947.
  77. “Nanoscale Layer Etching by Short-Time Exposure of Substrates to Gas Discharges Using Moving Patterned Shutter,” G. S. Oehrlein, X. Hua, C. Stolz, and P. Jiang, J. Vac. Sci. Technol. B 24, 279 (2006); doi:10.1116/1.2162570.
  78. 4F8 and C4F8/Ar Gas Mixtures,” X. Hua, C. Stolz, G. S. Oehrlein, P. Lazzeri, N. Coghe, M. Anderle, C. K. Inoki, T. S. Kuan, and P. Jiang, J. Vac. Sci. Technol. A 23, 151 (2005); doi:10.1116/1.1821584.
  79. “Studies of Film Deposition in Fluorocarbon Plasmas Employing a Small Gap Structure,” L. Zheng, L. Ling, X. Hua, G. S. Oehrlein, and E. A. Hudson, J. Vac. Sci. Technol. A 23, 634 (2005); doi:10.1116/1.1931680.
  80. “The Role of C2F4, CF2, and Ions in C4F8/Ar Plasma Discharges under Active Oxide Etch Conditions in an Inductively Coupled GEC Cell Reactor,” M. J. Barela, H. M. Anderson, and G. S. Oehrlein, J. Vac. Sci. Technol. A 23, 408 (2005); doi:10.1116/1.1874173.
  81. “Porosity-Induced Effects during C4F8/90% Ar Plasma Etching of Silica-Based Ultralow-k Dielectrics,” P. Lazzeri, X. Hua, G. S. Oehrlein, M. Barozzi, E. Iocab, and M. Anderle, J. Vac. Sci. Technol. B 23, 1491 (2005); doi:10.1116/1.1943439.
  82. “Substrate Interconnect Technologies for 3-D MEMS Packaging,” B. Morgan, X. Hua, T. Iguchi, T. Tomioka, G. S. Oehrlein, and R. Ghodssi, Microelectron. Engr. 81, 106 (2005); doi:10.1016/j.mee.2005.04.004.
  83. “Surface Chemical Changes of Aluminum during NF3-Based Plasma Processing Used for in-situ Chamber Cleaning,” X. Li, X. Hua, L. Ling, G. S. Oehrlein, E. Karwacki, and B. Ji, J. Vac. Sci. Technol. A 22, 158 (2004) ; doi:10.1116/1.1633566.
  84. “Role of Fluorocarbon Film Formation in the Etching of Silicon, Silicon Dioxide, Silicon Nitride, and Amorphous Hydrogenated Silicon Carbine,” T.E.F.M. Standaert, C. Hedlund, E. A. Joseph, G. S. Oehrlein, and T. J. Dalton, J. Vac. Sci. Technol. A 22, 53 (2004); doi:10.1116/1.1626642.
  85. “Study of C4F8/CO and C4F8/Ar/CO Plasmas for Highly Selective Etching of Organosilicate Glass (OSG) over Si3N4 and SiC,” L. Ling, X. Hua, X. Li, G. S. Oehrlein, F. G. Celii, K.H.R. Kirmse, P. Jiang, Y. Wang, and H. M. Anderson, J. Vac. Sci. Technol. A 22, 236 (2004) ; doi: 10.1116/1.1638780.
  86. “Molecular Dynamics Simulations of Ar+-Induced Transport of Fluorine through Fluorocarbon Films,” D. Humbird, D. B. Graves, X. Hua, and G. S. Oehrlein, Appl. Phys. Lett. 84, 1073 (2004); doi:10.1063/1.1644338.
  87. “Properties of C4F8 Inductively Coupled Plasmas, Part I: Studies of Ar/C-C4F8 Magnetically Confined Plasmas for Etching of SiO2,” Xi Li, Li Ling, X. Hua, G. S. Oehrlein, Y. Wang, A. V. Vasenkov, and M. J. Kushner, J. Vac. Sci. Technol. A 22, 500 (2004); doi:10.1116/1.1697482.
  88. “Properties of C-C4F8 Inductively Coupled Plasmas, Part II: Plasma Chemistry and Reaction Mechanism of Modeling of Ar/c-C4F8/02 Discharges,” A. V. Vasenkov, Xi. Li, G. S. Oehrlein, and M. J. Kushner, J. Vac. Sci. Technol. A 22, 511 (2004); doi:10.1116/1.1697483.
  89. “Investigation of Surface Modifications of 193 and 248 nm Photoresist Materials during Low-Pressure Plasma Etching,” L. Ling, X. Hua, X. Li, G. S. Oehrlein, E. Hudson, P. Lazzeri, N. Coghe, M. Anderle, J. Vac. Sci. Technol. B 22, 2594 (2004); doi:10.1116/1.1805545.
  90. “Effects of Ar and O2 Additives on SiO2 Etching in C4F8-Based Plasmas,” X. Li, L. Ling, X. Hua, M. Fukasawa, G. S. Oehrlein, M. Barela, and H. M. Anderson, J. Vac. Sci. Technol. A 21, 284 (2003); doi:10.1116/1.1531140.
  91. “Study of C4F8/N2 and C4F8/Ar/N2 Plasmas for Highly Selective Organosilicate Glass (OSG) Etching over Si3N4 and SiC,” X. Hua, X. Wang, D. Fuentevilla, G. S. Oehrlein, F. G. Celii and K.H.R. Kirmse, J. Vac. Sci. Technol. A 21, 1708 (2003); doi:10.1116/1.1598973.
  92. “Fluorocarbon-Based Plasma Etching of SiO2: Comparison of C4F6/Ar and C4F8/Ar Discharges,” X. Li, X. Hua, L. Ling, G. S. Oehrlein, M. Barela, and H. M. Anderson, J. Vac. Sci. Technol. A 20, 2052 (2002); doi:10.1116/1.1517256.
  93. “Spatially Resolved Mass Spectrometric Sampling of Inductively Coupled Plasmas Using a Moable Sampling Oifice,” X. Li, G. S. Oehrlein, M. Schaepkens, R. E. Ellefson, and L. C. Frees, J. Vac. Sci. Technol. A 21, 1971 (2003); doi:10.1116/1.1519413.
  94. “Characteristics of C4F8 Plasmas with Ar, Ne and He Additives for SiO2 Etching in an Inductively Coupled Plasma (ICP) Reactor,” Xi Li, Li Ling, X. Hua, G. S. Oehrlein, Y. Wang, and H. M. Anderson, J. Vac. Sci. Technol. A 21[, 1955 (2003); doi:10.1116/1.1619420.
  95. “A Review of SiO2 Etching Studies in Inductively Coupled Fluorocarbon Plasmas,” M. Schaepkens and G. S. Oehrlein, J. Electrochem. Soc. 148, C211 (2001); doi:10.1149/1.1348260.
  96. “Surface Etching Mechanism of Silicon Nitride in Fluorine and Nitric Oxide Containing Plasmas,” B.E.E. Kastenmeier, P.J. Matsuo, G.S. Oehrlein, R.E. Ellefson, and L.C. Frees, J. Vac. Sci. Technol. A 19, 25 (2001); doi:10.1116/1.1329118.
  97. “High-Density Plasma Patterning of Low Dielectric Constant Polymers: A Comparison between Polytetrafluoroethylene, Parylene-N, and Poly-Arylene Ether,” T.E.F.M. Standaert, P.J. Matsuo, X. Li, G.S. Oehrlein, T.-M. Lu, R. Gutmann, C.T. Rosenmayer, J.W. Bartz, J.G. Langan, and W.R. Entley, J. Vac. Sci. Technol. A 19, 435 (2001); doi:10.1116/1.1349201.
  98. “Development of a Slurry Employing a Unique Silica Abrasive for the CMP of Cu Damascene Structures,” P. Wrschka, J. Hernandez, G.S. Oehrlein, J.A. Negrych, G. Haag, P. Rau, and J.E. Currie, J. Electrochem. Soc. 148, G321 (2001); doi:10.1149/1.1370969.
  99. “Surface Chemistry Studies of Copper Chemical-Mechanical Planarization,” J. Hernandez, P. Wrschka, and G.S. Oehrlein, J. Electrochem. Soc. 148, G389-G397 (2001); doi:10.1149/1.137759).
  100. “Gas-Phase Studies in Inductively Coupled Fluorocarbon Plasmas,” M. Schaepkens, I. Martini, E.A. Sanjuan, X. Li, G.S. Oehrlein, W.L. Perry, and H.M. Anderson, J. Vac. Sci. Technol. A 19, 2946 (2001); doi:10.1116/1.1415361.
  101. “Using a Quartz Crystal Microbalance for Low Energy Ion Beam Etching Studies,” M.F. Doemling, B. Lin, N.R. Rueger, G.S. Oehrlein, R.A. Haring, and Y.H. Lee, J. Vac. Sci. Technol. A 18, 23 (2000); doi:10.1116/1.582139.
  102. “Gas Utilization in Remote Plasma Cleaning and Stripping Applications,” B.E.E. Kastenmeier, G.S. Oehrlein, John G. Langan, and William R. Entley, J. Vac. Sci. Technol. A 18, 2102 (2000); doi:10.1116/1.1287442.
  103. “Etching of Xerogel in High-Density Fluorocarbon Plasmas,” T.E.F.M. Standaert, E.A. Joseph, G.S. Oehrlein, A. Jain, W.N. Gill, P.C. Wayner, Jr., and J.L. Plawsky, J. Vac. Sci. Technol. A 18, 2742 (2000); doi:10.1116/1.1290376.
  104. “Effect of Radio Frequency Bias Power on SiO2 Feature Etching in Inductively Coupled Fluorocarbon Plasmas,” M. Schaepkens, G.S. Oehrlein, and J.M. Cook, J. Vac. Sci. Technol. B 18, 848 (2000); doi:10.1116/1.591285.
  105. “Effects of Radio Frequency Bias Frequency and Radio Frequency Bias Pulsing on SiO2 Feature Etching in Inductively Coupled Fluorocarbon Plasmas”, M. Schaepkens, G.S. Oehrlein, and J.M. Cook, J. Vac. Sci. Technol. B 18, 856 (2000); doi::10.1116/1.591286.
  106. “Chemical Mechanical Planarization of Copper Damascene Structures,” P. Wrschka, J. Hernandez, G.S. Oehrlein, and J. King, J. Electrochem. Soc. 147, 706 (2000); doi:10.1149/1.1393256.
  107. “Pattern Transfer into Low Dielectric Constant Materials by High-Density Plasma Etching,” G.S. Oehrlein, T.E.F.M. Standaert, P.J. Matsuo, Solid State Technol. 43, 125 (2000).
  108. “Surface Science Issues in Plasma Etching,” G.S. Oehrlein, M.F. Doemling, B.E.E. Kastenmeier, P.J. Matsuo, N.R. Rueger, M. Schaepkens, T.E.F.M. Standaert, IBM J. Res. Dev. 43 (1.2) (1999).
  109. “Study of the SIO2-to-Si3N4 Etch Selectivity Mechanism in Inductively Coupled Fluorocarbon Plasmas and a Comparison with the SiO2-to-Si Mechanism,” M. Schaepkens, T.E.F.M. Standaert, N.R. Rueger, P.G.M. Sebel, G.S. Oehrlein, and J.M. Cook, J. Vac. Sci. Technol. A 17, 26 (1999); doi: 10.1116/1.582108.
  110. “Patterning of Fluorine-, Hydrogen-, and Carbon-Containing SiO2-Like Low Dielectric Constant Materials in High-Density Fluorocarbon Plasmas: Comparison with SiO2,” T.E.F.M. Standaert, P.J. Matsuo, S.D. Allen, G.S. Oehrlein, and T. J. Dalton, J. Vac. Sci. Technol. A 17, 741-748 (1999); doi 10.1116/1.58164.
  111. “Silicon Etching in NF3/O2 Remote Microwave Plasmas,” P.J. Matsuo, B.E.E. Kastenmeier, G.S. Oehrlein, and J.G. Langan, J. Vac. Sci. Technol. A, 2431 (1999); doi:10.1116/1.581979.
  112. “Selective Etching of SiO2 over Polycrystalline Silicon Using CHF3 in an Inductively Coupled Plasma Reactor,” N.R. Rueger, M.F. Doemling, M. Schaepkens, J.J. Beulens, T.E.F.M. Standaert, and G.S. Oehrlein, J. Vac. Sci. Technol. A 17, 2492 (1999); doi:10.1116/1.581987.
  113. “Highly Selective Etching of Silicon Nitride over Silicon and Silicon Dioxide,” B.E.E. Kastenmeier, P. J. Matsuo, and G.S. Oehrlein, J. Vac. Sci. Technol. A 17, 3179 (1999; doi:10.1116/1.582097.
  114. “Effect of Capacitive Coupling on Inductively Coupled Fluorocarbon Plasma Processing,” M. Schaepkens, N.R. Rueger, J.J. Beulens, X. Li, T.E.F.M. Standaert, P.J. Matsuo, and G.S. Oehrlein, J. Vac. Sci. Technol. A 17, 3272 (1999); doi:10.1116/1.582054.
  115. “Characterization of Al, Cu, and TiN Surface Cleaning Following a Low-K Dielectric Etch,” P.J. Matsuo, T.E.F.M. Standaert, S.D. Allen, G.S. Oehrlein, and T.J. Dalton, J. Vac. Sci. Technol. B 17, 1435 (1999) ; doi:10.1116/1.590772.
  116. “Selective SiO2-to-Si3N4 Etching in Inductively Coupled Fluorocarbon Plasmas: Angular Dependence of SiO2 and Si3N4 Etching Rates,” M. Schaepkens, G.S. Oehrlein, C. Hedlund, L. B. Jonsson, and H.-O. Blom, J. Vac. Sci. Technol. A 16, 3281 (1998); doi:10.1116/1.581534.
  117. “Influence of Reactor Wall Conditions on Etch Processes in Inductively Coupled Fluorocarbon Plasmas,” M. Schaepkens, R.C.M. Bosch, T.E.F.M. Standaert, G.S. Oehrlein, and J.M. Cook, J. Vac. Sci. Technol. A 16, 2099 (1998); doi:10.1116/1.581316.
  118. “Asymmetric Microetching during Inductively Coupled Plasma Oxide Etching in the Presence of a Weak Magnetic Field,” M. Schaepkens and G.S. Oehrlein, Appl. Phys. Lett. 72, 1293 (1998); doi:10.1063/1.121068.
  119. “High Density Fluorocarbon Etching of Silicon in an Inductively Coupled Plasma: Mechanism of Etching through a Thick Steady-State Fluorocarbon Layer,” T.E.F.M. Standaert, M. Schaepkens, N.R. Rueger, P.G.M. Sebel, G.S. Oehrlein, and J.M. Cook, J. Vac. Sci. Technol. A 16, 239-249 (1998); doi:10.1116/1.580978.
  120. “Remote Plasma Etching of Silicon Nitride and Silicon Dioxide Using NF3/O2 Gas Mixtures,” B.E. E. Kastenmeier, P.J. Matsuo, G.S. Oehrlein, and J.G. Langan, J. Vac. Sci. Technol. A 16, 2047 (1998); doi:10.1116/1.581309.
  121. “Photoresist Erosion Studied in an Inductively Coupled Plasma Reactor Employing CHF3,” M.F. Doemling, N.R. Rueger, G.S. Oehrlein, and J.M. Cook, J. Vac. Sci. Technol. B 16, 1998 (1998); doi: 10.1116/1.590120.
  122. “Fabrication of Cu Interconnects of 50 nm Linewidth by Electron-Beam Lithography and High-Density Plasma Etching,” Y. Hsu, T.E.F.M. Standaert, G.S. Oehrlein, T.S. Kuan, E. Sayre, K. Rose, K.Y. Lee, and S.M. Rossnagel, J. Vac. Sci. Technol. B 16, 3344 (1998); doi:10.1116/1.590379.
  123. “Role of N2 Addition on CF4/O2 Remote Plasma Chemical Dry Etching of Polycrystalline Silicon,” P.J. Matsuo, B.E.E. Kastenmeier, J.J. Beulens, and G.S. Oehrlein, J. Vac. Sci. Technol. A 15, 1801 (1997); doi:10.1116/1.580795.
  124. “Surface Processes in Low Pressure Plasmas,” G.S. Oehrlein, Surface Sci. 386, 222 (1997); doi:10.1016/S0039-6028(97)00304-X.
  125. “Role of Steady State Fluorocarbon Films in the Etching of Silicon Dioxide Using CHF3 in an Inductively Coupled Plasma Reactor,” N.R. Rueger, J.J. Beulens, M. Schaepkens, M.F. Doemling, J.M. Mirza, T.E.F.M. Standaert, and G.S. Oehrlein, J. Vac. Sci. Technol. A 15, 1881 (1997); doi:10.1116/1.580655.
  126. “Study of Plasma-Surface Interactions: Chemical Dry Etching and High-Density Plasma Etching,” G.S. Oehrlein, P.J. Matsuo, M.F. Doemling, N.R. Rueger, B.E.E. Kastenmeier, M. Schaepkens, T. Standaert, and J.J. Beulens, Plasma Sources Sci. Technol. (UK) 5, 193 (1996) ; doi:10.1088/0963-0252/5/2/012.
  127. “Chemical Downsteam Etching of Silicon-Nitride and Poly-Silicon Using CF4/O2/N2: Surface Chemical Effects of O2/N2 Additives,” J.J. Beulens, B.E.E. Kastenmeier, P.J. Matsuo, and G.S. Oehrlein, Appl. Phys. Lett. (1995).